From 2eb3b3e7232c017cea40b924afb6ca20ad3e4612 Mon Sep 17 00:00:00 2001 From: Ralph Metzler Date: Tue, 2 Jan 2018 19:58:33 +0100 Subject: [PATCH] add init values for TSINSDELM/L --- frontends/stv0910.c | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/frontends/stv0910.c b/frontends/stv0910.c index e29a0e3..9d4bbf1 100644 --- a/frontends/stv0910.c +++ b/frontends/stv0910.c @@ -1190,6 +1190,12 @@ static int probe(struct stv *state) write_reg(state, RSTV0910_P1_I2CRPT, state->i2crpt); write_reg(state, RSTV0910_P2_I2CRPT, state->i2crpt); + write_reg(state, RSTV0910_P1_TSINSDELM, 0x17); + write_reg(state, RSTV0910_P1_TSINSDELL, 0xff); + + write_reg(state, RSTV0910_P2_TSINSDELM, 0x17); + write_reg(state, RSTV0910_P2_TSINSDELL, 0xff); + init_diseqc(state); return 0; }