From b3806c61cece29a19a8c93cc8457df70f1861fb4 Mon Sep 17 00:00:00 2001 From: Ralph Metzler Date: Fri, 7 Apr 2017 12:22:29 +0200 Subject: [PATCH] typo --- frontends/lnbh25.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/frontends/lnbh25.c b/frontends/lnbh25.c index ea730e6..c666ee1 100644 --- a/frontends/lnbh25.c +++ b/frontends/lnbh25.c @@ -146,7 +146,7 @@ struct dvb_frontend *lnbh25_attach(struct dvb_frontend *fe, fe->ops.enable_high_lnb_voltage = lnbh25_enable_high_lnb_voltage; fe->ops.release_sec = lnbh25_release; - pr_info("LNB25 on %02x\n", lnbh->adr); + pr_info("LNBH25 on %02x\n", lnbh->adr); return fe; }