/* * audio.h: The basic audio interface * * See the main source file 'vdr.c' for copyright information and * how to reach the author. * * $Id: audio.h 1.1 2002/06/10 16:30:00 kls Exp $ */ #ifndef __AUDIO_H #define __AUDIO_H #endif //__AUDIO_H